ESP is silicon-proven!

Published: Sep 26, 2022 by Gabriele Tombesi

Check out the first chip based on the ESP platform.

This work is a collaboration with Harvard and IBM Research and was recently published at ESSCIRC 2022.

ESP SLD open-source OSH open-source-hardware accelerators SoC RISC-V ESSCIRC